Visible to the public Denial-of-Service Attacks on Shared Cache in Multicore: Analysis and PreventionConflict Detection Enabled

TitleDenial-of-Service Attacks on Shared Cache in Multicore: Analysis and Prevention
Publication TypeConference Paper
Year of Publication2019
AuthorsMichael Bechtel, Heechul Yun
Conference NameReal-Time and Embedded Technology and Applications Symposium (RTAS)
Date PublishedApril 2019
PublisherIEEE
Conference LocationMontreal, QC, Canada
ISBN Number978-1-7281-0678-6
Accession Number18779524
Keywords2019: July, KU, Resilient Architectures, Side-Channel Attack Resistance
Abstract

In this paper we investigate the feasibility of denial-of-service (DoS) attacks on shared caches in multicore platforms. With carefully engineered attacker tasks, we are able to cause more than 300X execution time increases on a victim task running on a dedicated core on a popular embedded multicore platform, regardless of whether we partition its shared cache or not. Based on careful experimentation on real and simulated multicore platforms, we identify an internal hardware structure of a non-blocking cache, namely the cache writeback buffer, as a potential target of shared cache DoS attacks. We propose an OS-level solution to prevent such DoS attacks by extending a state-of-the-art memory bandwidth regulation mechanism. We implement the proposed mechanism in Linux on a real multicore platform and show its effectiveness in protecting against cache DoS attacks.

URLhttps://ieeexplore.ieee.org/document/8743207
DOI10.1109/RTAS.2019.00037
Citation Keyinproceedings