Biblio

Filters: Author is Das, Subhasis  [Clear All Filters]
2017-05-18
Das, Subhasis, Aamodt, Tor M., Dally, William J..  2015.  Reuse Distance-Based Probabilistic Cache Replacement. ACM Trans. Archit. Code Optim.. 12:33:1–33:22.

This article proposes Probabilistic Replacement Policy (PRP), a novel replacement policy that evicts the line with minimum estimated hit probability under optimal replacement instead of the line with maximum expected reuse distance. The latter is optimal under the independent reference model of programs, which does not hold for last-level caches (LLC). PRP requires 7% and 2% metadata overheads in the cache and DRAM respectively. Using a sampling scheme makes DRAM overhead negligible, with minimal performance impact. Including detailed overhead modeling and equal cache areas, PRP outperforms SHiP, a state-of-the-art LLC replacement algorithm, by 4% for memory-intensive SPEC-CPU2006 benchmarks.