Visible to the public POWERT Channels: A Novel Class of Covert CommunicationExploiting Power Management Vulnerabilities

TitlePOWERT Channels: A Novel Class of Covert CommunicationExploiting Power Management Vulnerabilities
Publication TypeConference Paper
Year of Publication2019
AuthorsKhatamifard, S. Karen, Wang, Longfei, Das, Amitabh, Kose, Selcuk, Karpuzcu, Ulya R.
Conference Name2019 IEEE International Symposium on High Performance Computer Architecture (HPCA)
Date PublishedFeb. 2019
PublisherIEEE
ISBN Number978-1-7281-1444-6
Keywordsapplication performance requirements, bit rate 121.6 bit/s, channel capacity, composability, compositionality, control systems, covert channels, covert communication, critical shared resource, Hardware, instantaneous power demand timely, microprocessor chips, Monitoring, multiprocessing systems, power aware computing, Power demand, power headroom modulation, Power Management, power management algorithms, power management vulnerabilities, power system management, POWERT channel capacity, pubcrawl, representative commercial systems, resilience, Resiliency, resource allocation, Runtime, runtime power management, Scalability, Software, system-wide shared resource, tight power budget
Abstract

To be able to meet demanding application performance requirements within a tight power budget, runtime power management must track hardware activity at a very fine granularity in both space and time. This gives rise to sophisticated power management algorithms, which need the underlying system to be both highly observable (to be able to sense changes in instantaneous power demand timely) and controllable (to be able to react to changes in instantaneous power demand timely). The end goal is allocating the power budget, which itself represents a very critical shared resource, in a fair way among active tasks of execution. Fundamentally, if not carefully managed, any system-wide shared resource can give rise to covert communication. Power budget does not represent an exception, particularly as systems are becoming more and more observable and controllable. In this paper, we demonstrate how power management vulnerabilities can enable covert communication over a previously unexplored, novel class of covert channels which we will refer to as POWERT channels. We also provide a comprehensive characterization of the POWERT channel capacity under various sharing and activity scenarios. Our analysis based on experiments on representative commercial systems reveal a peak channel capacity of 121.6 bits per second (bps).

URLhttps://ieeexplore.ieee.org/document/8675190/
DOI10.1109/HPCA.2019.00045
Citation Keykhatamifard_powert_2019