Visible to the public SRAM voltage scaling for energy-efficient convolutional neural networks

TitleSRAM voltage scaling for energy-efficient convolutional neural networks
Publication TypeConference Paper
Year of Publication2017
AuthorsYang, L., Murmann, B.
Conference Name2017 18th International Symposium on Quality Electronic Design (ISQED)
Keywordsapproximate SRAM, bit error injection, Bit error rate, CMOS memory circuits, ConvNet training, convolutional neural networks, Deep Learning, electronic engineering computing, elemental semiconductors, energy conservation, energy-efficient convolutional neural network, energy-quality tradeoff, error resiliency, floating-point classification accuracy, Hardware, Hardware Implementation, IoE platform, learning (artificial intelligence), low-power electronics, low-power embedded system, memory power intensive, memory size 8 KByte, Micromechanical devices, neural nets, Neural Network Resilience, pubcrawl, Random access memory, resilience, Resiliency, Si, Silicon, silicon-on-insulator, size 28 nm, SRAM chips, SRAM voltage scaling, Training, UTBB FD-SOI CMOS, voltage 310 mV
Abstract

State-of-the-art convolutional neural networks (ConvNets) are now able to achieve near human performance on a wide range of classification tasks. Unfortunately, current hardware implementations of ConvNets are memory power intensive, prohibiting deployment in low-power embedded systems and IoE platforms. One method of reducing memory power is to exploit the error resilience of ConvNets and accept bit errors under reduced supply voltages. In this paper, we extensively study the effectiveness of this idea and show that further savings are possible by injecting bit errors during ConvNet training. Measurements on an 8KB SRAM in 28nm UTBB FD-SOI CMOS demonstrate supply voltage reduction of 310mV, which results in up to 5.4x leakage power reduction and up to 2.9x memory access power reduction at 99% of floating-point classification accuracy, with no additional hardware cost. To our knowledge, this is the first silicon-validated study on the effect of bit errors in ConvNets.

URLhttps://ieeexplore.ieee.org/document/7918284/
DOI10.1109/ISQED.2017.7918284
Citation Keyyang_sram_2017